通信原理里面的信道编码那一章,关于线性代数矩阵计算分组码的监督矩阵,就是几个监督元与信息位的关系式是怎么写的

&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&(8-6)
&&&&其中, && 表示接收到的信息位,表示接收到的监督位,若S=0,就认为无错;若S=1就认为有错。式(8-6)被称为监督关系式,S是校正子。由于校正子S的取值只有&0&和&1&两种状态,因此,它只能表示有错和无错这两种信息,而不能指出错码的位置。&&&&设想如果监督位增加一位,即变成两位,则能增加一个类似于式(8-6)的监督关系式,计算出两个校正子和,&而共有4种组合:00,01,10,11,可以表示4种不同的信息。除了用00表示无错以外,其余3种状态就可用于指示3种不同的误码图样。&&&&同理,由r个监督方程式计算得到的校正子有r位,可以用来指示-1种误码图样。对于一位误码来说,就可以指示-1个误码位置。对于码组长度为n、信息码元为k位、监督码元为r=n - k位的分组码(常记作(n,k)码),如果希望用r个监督位构造出r个监督关系式来指示一位错码的n种可能,则要求:
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&(8-7)
&&&&下面通过一个例子来说明线性分组码是如何构造的。设分组码(n , k)中k = 4,为了能够纠正一位错误,由式(8-7)可以看到,要求r & 3,若取r = 3,则n = k+r = 7。因此,可以用表示这7个码元,用、、表示利用三个监督方程,通过计算得到的校正子,并且假设、、三位校正字码组与误码位置的关系如表8-4(当然,也可以规定成另一种对应关系,这并不影响讨论的一般性):
&&&&由表中规定可已看到,仅当一错码位置在时,校正子为1;否则为0。这就意味着四个码元构成偶数监督关系: &&&&&&&&
&&&&&&&&&(8-8a)
&&&&同理,构成偶数监督关系:&&&&&&&& &&&&&&&&(8-8b)
表8-4校正字与误码位置
&&& 以及构成有数监督关系:
    &&&&&(8-8c)
&&&&在发送端编码时是信息码元,它们的值取决于输入信号,因此是随机的。是监督码元,它们的取值由监督关系来确定,即监督位应使式(8-8)的三个表达式中的、和的值为零(表示编成的码组中应无错码),这样式(8-8)的三个表达式可以表示成下面的方程组形式:
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&(8-9)
&&&&由上式经移项运算,接出监督位
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&(8-10)
&&&&根据上面两个线性关系,可以得到16个许用码组如表8-5所示:
表8-5许用码组
&&&&接收端收到每个码组后,计算出、和,如不全为0,则可按表8-4确定误码的位置,然后予以纠正。例如,接收码组为0000011,可算出=011,由表8-4可知在位置上有一误码。&&&&不难看出,上述(7,4)码的最小码距,因此,它能纠正一个误码或检测两个误码。如超出纠错能力,则反而会因&乱纠&而增加新的误码。
&&&&8.3.2 监督矩阵H和生成矩阵G
&&&&式(8-9)所述(7,4)码的三个监督方程式可以重新改写为如下形式:
&&&&&&&&&&&&&&&&&&&(8-11)
&&&&对于式(8-11)可以用矩阵形式来表示:
&&&&&&&&&&&&(8-12)
&&&&上式可以记作:或,其中
&&&&&&&&&&&&&&&&&&&&(8-13a)
&&&&&&&&&&&&&&&&&&&&&&&&(8-13b)
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&(8-13c)
&&&&通常H称为监督矩阵,A称为信道编码得到的码字。在这个例子中H为r&n阶矩阵,P为r&k阶矩阵,Ir为r&r阶单位矩阵,具有这种特性的H矩阵称为典型监督矩阵,这是一种较为简单的信道编译码方式。典型形式的监督矩阵各行是线性无关的,非典型形式的监督矩阵可以经过行或列的运算化为典型形式。&&&&对于式(8-10)也可以用矩阵形式来表示:
&&&&&&&&&&&&&(8-14)
&&&&比较式(8-13a)和式(8-14)可以看到,如果在Q矩阵的左边在加上一个k&k的单位矩阵,就形成了一个新矩阵G:
&&&&&&&&&&&&&&&&&&&&&&(8-15)
&&&&这里G称为生成矩阵,利用它可以产生整个码组
&&&&&&&&&&&&&&&&&&&&&&&&(8-16)
&&&&由式(8-15)表示的生成矩阵形式称为典型生成矩阵,利用式(8-16)产生的分组码必为系统码,也就是信息码元保持不变,监督码元附加在其后。
&&&&8.3.3 校验子S
&&&&在发送端信息码元M利用式(8-16),实现信道编码,产生线性分组码A;在传输过程中有可能出现误码,设接收到的码组为B。则收发码组之差为:
&&&&&&&&&&&&&(8-17)
&&&&这里,,表示i位有错,,表示i位无错。基于这样的原则接收端利用接收到的码组B计算校正子:
&&&&&&&&&&&&&&&&&&(8-18)
&&&&因此,校正子仅与E有关,即错误图样与校正子之间有确定的关系。&&&&对于上述(7,4)码,校正子S与错误图样的对应关系可由式(8-18)求得,其计算结果见表8-6所示。在接收端的译码器中有专门的校正子计算电路,从而实现检错和纠错。
表8-6(7,4)码校正子与错误图样的对应关系
e6 e5 e4 e3 e2 e1 e0
/b0b1b2b3b4b5b6
0&&0&&0&&0&&0&&0&&00&&0&&0&&0&&0&&0&&10&&0&&0&&0&&0&&1&&00&&0&&0&&0&&1&&0&&00&&0&&0&&1&&0&&0&&00&&0&&1&&0&&0&&0&&00&&1&&0&&0&&0&&0&&01&&0&&0&&0&&0&&0&&0
0&&0&&00&&0&&10&&1&&01&&0&&00&&1&&11&&0&&11&&1&&01&&1&&1
&&&&8.3.4&汉明码
&&&&汉明码是一种能够纠正单个错误的线性分组码。它有以下特点:&&&&(1)最小码距,可以纠正一位错误;&&&&(2)码长n与监督元个数r之间满足关系式:。&&&&如果要产生一个系统汉明码,可以将矩阵H转换成典型形式的监督矩阵,进一步利用Q = PT的关系,得到相应的生成矩阵G。通常二进制汉明码可以表示为:
&&&&&&&&&&&&&&&&&&&&&(8-19)
&&&&根据上述汉明码定义可以看到,8.3.1构造的(7,4)线性分组码实际上就是一个汉明码,它满足汉明码的两个特点。图8-5中给出(7,4)系统汉明码的编码器和译码器电路。
(a)发端编码器
(b)收端译编码器
图8-5&(7,4)汉明码的编译码器
文章 - 298基于VHDL的线性分组码编译码器设计-可编程逻辑-与非网
&在通信系统中,由于信道存在大量的噪声和干扰,使得经信道传输后的接收码与发送码之间存在差异,出现误码。在数字通信系统中常采用差错控制信道编码技术,以此来减少传输过程的误码,提高数字通信系统的传输质量。它的基本原理是:发送端的信道编码器在信息码元序列中按照一定的关系加入一些冗余码元(称为监督码元),使得原来相关性很小的信息码元产生某种相关性,从而在接收端利用这种相关性来检查并纠正信息码元在传输中引起的差错。冗余度的引入提高了传输的可靠性,但降低了传输效率。
  1 线性分组码
  分组码是由一组固定长度为n,称之为码字的矢量构成的。线性分组编码时,将每k个信息位分为一组独立处理,按一定规则给每个信息组增加(n-k)个监督码元,组成长度为n的二进制码字,这种编码方式记为是(n,k)分组码。信息位和监督位采用的关系式由一组线性方程所决定,称之为线性分组码。
  若线性分组码的输入信息位为U=(U0,U1,&,Uk),编码输出为C=(C0,C1,&,Cn),则有如下关系:
  式中:G为线性分组码的生成矩阵;H为监督矩阵。当G确定后,编码的方法就完全确定了,而H给定后,编码时监督位和信息位的关系也就确定了。因此,在设计分组码编码器时,需要确定生成矩阵G;在设计分组码译码器时,需要确定监督矩阵H。
  2 线性分组码编译码器设计
  2.1 线性分组码编码器设计
,由于生成矩阵G是k行n列,所以k=3,n=6,改(n,k)码为(6,3)码。根据生成矩阵和式(1)运算后得到相应的编码。即由:
  可得:
  COUT=(C0,C1,C2,C3,C4,C5)为编码输出,其中前3个分量为增加的监督码元,后3个为原输入信息位。
  2.2 线性分组码译码器设计
  将生成矩阵G进行初等运算:原矩阵的第2,3,1行分别作为典型矩阵的第1,2,3行,可得典型生成矩阵:
  于是,典型监督矩阵H为:
  监督码元与信息码元之间的关系称为监督方程式(监督关系式),监督矩阵的每行中&1&的位置表示相应码元之间存在的监督关系,即下述三个监督方程:
  发送的码字C=(C0,C1,&,Cn)。e表示传输中的差错;Y表示接收的码字。如果码字在传输过程中没有出现差错,则有
HYT=HeT=O;出现差错时,则有,S=eHT。其中,S称为伴随子,又称为校正子。由于S只与序列传输中的差错e有关,因此在编码的能力之内,一定的e序列必然对应一定的S组合。可以在接收机中做好对应表,然后根据序列S准确地判断差错位置,再根据出错位置进行纠正,可得到正确的译码输出。根据式(2)可计算接收矢量Y的伴随子S=Y&HT。这里:
  错误图样即校正子与错码位置的关系,因为r=3,所以有3个校正子,相应的有3个监督关系式。将式(3)改写为:
  则可得由伴随子S决定对应的错误图样集e,即为典型监督矩阵的转置HT,如表1所示。
  3 仿真及分析
  图1、图2分别为线性仿真分组编码器、译码器电路的仿真波形。图中各参数含义如下:clk是系统时钟信号输入;UI是编码器中三位线性分组码的输入;CO是编码器中六位编码的输出;Y是解码器中六位编码的输入;c是解码器中六位译码的输出。
  在图1、图2中,截取了仿真的部分波形进行分析,产生的六位编码CO、六位译码Y完全依据线性分组码的编译码规则,任意两个许用码组之和(逐位模2加)仍为一许用码组,即具有封闭性。
  4 结语
  对线性分组码编、译码器的设计基于VHDL(硬件描述语言),与传统设计相比较,采用VHDL语言设计的线性分组码编、译码器无需考虑具体电路的实现,只需要掌握编译码原理,根据相应的编译码规则转换成VHDL语言,大大减少了设计人员的工作量,提高了设计的准确性和效率。程序已在Max+PlusⅡ10.O工具软件上进行了编译、仿真和调试。经过实验结果的分析,说明本设计是正确的。本文给出的设计思想也适用于其他基于PLD芯片的系统设计。
关注与非网微信 ( ee_focus )
限量版产业观察、行业动态、技术大餐每日推荐享受快时代的精品慢阅读
当消费者可以直接向智能工厂定制商品且价格更低时,首先颠覆的就是互联网媒介公司,我们可以一起来猜想一下,如果工业4.0变为现实,哪些行业会被毁灭?
旗下网站:
与非门科技(北京)有限公司 All Rights Reserved.
京ICP证:070212号
北京市公安局备案编号: 京ICP备:号扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
《数字通信原理》第8章 差错控制编码:基本概念与线性分组码
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口热搜TAGS:
Ch8 自测题
1.线性分码组(n,k)中,许用码组的个数是(& )。
A. &&&&&&&&&&&B. &&&&&&&&&&&C. n &&&&&&&&D. k
2.在一个码组内要想纠正t位误码,同时检测出e位误码(e),要求最小码距为(& )。
A. dmin&t+e+1&&&&&& B. dmin&2t+e+1&&&&&& C. dmin&t+2e+1&&&&&&& D. dmin&2t+2e+1
3.不需要反馈信道的差错控制方式是(& )。
A. 前向纠错(FEC)&&&&&&& &&&&&&&&&&&&&&B. 检错重发(ARQ)
C. 混合纠错(HEC)&&&&&&&&&&&&&&&&&&&&& D. 信息反馈(IF)
4.已知两个码组分别为(101101)、(110011),若用于检错,能检(&&& )位错;用于纠错,能纠(&&)位错。
A、0&&&&B、1&&&&C、2&&&&D、3
5.若信息码元为100101,则奇监督码为(&&),偶监督码为(&&)。
A、0&&&&B、1&&&&C、01&&&&D、10
6.差错控制编码可提高数字通信系统的(&&),降低(&&)。
A、信噪比&&&&B、传输带宽&&&&C、可靠性&&&&D、有效性
7.线性分组码(63,51)的编码效率为(&&)。
A、1/63&&&&B、1/51&&&&C、63/51&&&&D、51/63
8.卷积码(2,1,7)的编码效率为(&&)。
A、1/2&&&&B、1/7&&&&C、2/7&&&&D、3/7
9.码组0100110的码重为(&&)。
A、1&&&&B、2&&&&C、3&&&&D、4
10.码组0100110与码组0011011之间的码距为(&&)。
A、2&&&&B、3&&&&C、5&&&&D、5
Ans: &1.B&&& 2.A&&&&& 3. A&&& 4.B D && 5.A B && 6. C D && 7.D && 8.A && 9. C && 10. D &&
&二.&填空题
设一码组为(0000000),(1100101),(1010011),(0101110),该码组打分最小码距为(&&&& ),最多能发现(&&&& )个错码。
在一线性分组码中,若要纠正2个错码,要求最小码距dmin应为(&&&& )。
在一线性分组码中,若要检出5个错码,要求最小码距dmin应为(&&&& )。
(7,4)汉明码可纠(&&& )位错码。
(5,4)奇偶监督码实行偶监督,则信息元1011对应的监督位为(&&& )。
Ans:& 1.4& 3&&& &&&&2.5&&& &&&&&&3.6& &&&&&&&&&4.1&& &&&&&&5.1
三. 简答题
&&1.奇偶监督码的监督位与信息位的关系是什么?其检错能力如何?
&&2.二维奇偶监督码的纠、检错能力如何?
无论信息位有多少,奇偶监督码的监督位只有一位,在偶数监督码中,,它使码组中&1&的数目为偶数,而奇监督码与之相反。偶数监督码只能发现奇数个错误,不能发现偶数个错误。
&二维奇偶监督码可检测突发错码,用于检测在某一行中出现多个奇数或偶数个错码,当码组中突发错码仅在一行中有奇数个错误时,能够纠正它。但是,对方阵中同时构成矩形四角的错码无法检测。
四. 计算题
已知(7,4)线性码的生成矩阵为,写出监督矩阵,若接受码为1110101,计算校正子。
设(7,4)汉明码的一致监督方程为 :
&&&&&&&&&&&&&&&&&&&&&&&&&&&
求& (1)监督矩阵和生成矩阵。
(2)已知信息码组为1010,写出发送码字。
(3)若接收码字为1100111,试确定是否有错?若有错,请改正。
已知八个码组为,,1000。
&求以上码组的最小距离;
&将以上码组用于检错,能检几位错;若用于纠错,能纠正几位错码?
&如果将以上码组同时用于检错与纠错,问纠错检错能力如何?
已知两码组为(0000)和(1111)。若用于检错,能检出几位错码?若用于纠错,能纠正几位错码?若同时用于检错与纠错,问各能纠、检几位错码?
&&&(1)H=,
&&&&& (2)1010010
(3)S=有错,正确码字为:1000111
(1) 两两计算距离,得dmin=3
&&& &(2) 能检2位错;能纠1位错码。
&&& &(3) 只能纠1位错码。
dmin=4;能检3位错码;能纠1位错码;若同时用于检错与纠错,可纠1位错、检2位错。
&&&&&&&&&&&&&
(作者:张水英
编辑:admin)
文章热词:
上一篇:没有了
下一篇:没有了
延伸阅读:52线性分组码-第7页
上亿文档资料,等你来发现
52线性分组码-7
第一章计算机通信发展与纠错码;1.1计算机通信技术的历史和发展;1.1.1通信的概念;通信就是克服距离上的障碍,从一地向另一地传递和交;信号可以分为模拟信号和数字信号,模拟信号的自变量;通信的目的是传递消息,但对受信者有用的是消息中包;1.1.2通信的发展史简介;远古时代,远距离的传递消息是以书信的形式来完成的;1.1.3计算机通信的介绍;计算机通信是一种以
计算机通信发展与纠错码1.1 计算机通信技术的历史和发展1.1.1 通信的概念通信就是克服距离上的障碍,从一地向另一地传递和交换消息。消息是信息源所产生的,是信息的物理表现。所有消息必须在转换成电信号后才能在通信系统中传输,所以,信号(Signal)是传输消息的手段,信号是消息的物资载体。信号可以分为模拟信号和数字信号,模拟信号的自变量可以是连续的或离散的,但幅度是连续的。数字信号的自变量可以是连续的或离散的,但幅度是离散的。通信的目的是传递消息,但对受信者有用的是消息中包含的有效内容,即信息(Information)。消息是具体的、表面的,而信息是抽象的、本质的,且消息中包含的信息的多少可以用信息量来度量。数字通信技术近年来发展非常迅速,它的应用越来越广泛。
数字通信系统较模拟通信系统而言,具有抗干扰能力强、便于加密、易于实现集成化、便于与计算机连接等优点。因而,数字通信更能适应对通信技术的高要求。1.1.2 通信的发展史简介远古时代,远距离的传递消息是以书信的形式来完成的,这种通信方式明显具有传递时间长的缺点。1837年发明的莫尔斯电磁式电报标志着电通信的开始。之后,利用电进行通信的研究取得了长足的进步。1866年利用海底电缆实现了跨大西洋的越洋电报通信。1876年贝耳发明了电话,利用电信号实现了语音信号的有线传递,使信息的传递变得既迅速又准确,直到20世纪前半叶这种采用模拟技术的电话通信技术比电报得到了更为迅速和广泛的发展。1937年瑞威斯发明的脉冲编码调制标志数字通信的开始。20世纪60年代以后集成电路、电子计算机的出现,使得数字通信迅速发展。在70年代末在全球发展起来的模拟移动电话在90年代中期被数字移动电话所代替,现有的模拟电视也正在被数字电视所代替。数字通信的高速率和大容量等各方面的优越性也使人们看到了它的发展前途。1.1.3 计算机通信的介绍计算机通信是一种以数据通信形式出现,在计算机与计算机之间或计算机与终端设备之间进行信息传递的方式。它是现代计算机技术与通信技术相融合的产物,在军队指挥自动化系统、武器控制系统、信息处理系统、决策分析系统、情报检索系统以及办公自动化系统等领域得到了广泛应用。计算机通信按照传输连接方式的不同,可分为直接式和间接式两种。直接式是指将两部计算机直接相联进行通信,可以是点对点,也可以是多点通播。间接式是指通信双方必须通过交换网络进行传输。按照通信覆盖地域的广度,计算机通信通常分为局域式、城域式和广域式三类。
在通常情况下,计算机通信都是由多台计算机通过通信线路连接成计算机通信网进行的,这样可共享网络资源,充分发挥计算机系统的效能。1.2 纠错码的介绍纠错码(error correcting code),在传输过程中发生错误后能在收端自行发现或纠正的码。仅用来发现错误的码一般常称为检错码。关系的建立称为编码。码字到达收端后,可以根据编码规则是否满足以判定有无错误。当不能满足时,按一定规则确定错误所在位置并予以纠正。纠错并恢复原码字的过程称为译码。检错码与其他手段结合使用,可以纠错。纠错编码又称信道编码,信源编码是信息传输的两个方面。它们之存在对偶的关系。 准确地说,即把原码字按某种规则变成有一定剩余度的码字,并使每个码字的码元间有一定的关系。码元间的关系为线性时,称为线性码;否则称为非线性码。检错码与其他手段结合使用,可以纠错。1.3 纠错的原理纠错码能够检错或纠错,主要是靠码字之间有较大的差别。这可用码字之间的汉明距离 d(x,y)来衡量。它的定义为码字x与y之间的对应位取不同值的码元个数。一种纠错码的最小距离 d定义为该种码中任两个码字之间的距离的最小值。一种码要能发现e个错误,它的最小距离d应不小于e+1。若要能纠正t个错误,则d应不小于2t+1。在构造线性码时,数字上是从n维空间中选一k维子空间,且使此子空间内各非零码字的重量尽可能大。若一种码的码长为n,码字数为M,或信息位为h,以及最小距离为d,则可把此码记作【n,M,d】码。若此码为线性码,常简记作(n,k)或(n,k,d)码。常用R=log2M/n表示码的信息率,单位为比特/码元。R越大,则每个码元所携带的信息量越大,编码效率越高。纠错码实现中最复杂的部分是译码。它是纠错码能否应用的关键。采用的码长n越大,则误码率越小。但n越大,编译码设备也越复杂,且延迟也越大。人们希望找到的译码方法是:误码率随码长n的增加按指数规律下降;译码的复杂程度随码长n的增加接近线性地增加;译码的计算量则与码长 n基本无关。 第二章
设计目的及基本原理2.1 设计目的要设计一个(7,3)线性分组码的编译码程序,最基本的是要具备对输入的信息码进行编码,让它具有抗干扰的能力。同时,还要让它具有对接收到的整个码组中提取信息码组的功能。但是,在实际的通信系统中,由于信道传输特性不理想以及加性噪声的影响,接收到的信息中不可避免地会发生错误,影响通信系统的传输可靠性,因而,本设计还要让该程序具有纠正错误的能力,当接收到的码组中有一位码,发生错误时可以检测到这一位错码,并且可以纠正这一位错码,并且让系统从纠正后的码组中提取正确的信息码组。为了方便用户更好地使用该系统,该设计还要让程序运行后具有提示语句来提示用户选用编译器的种类,输入信息的方式以及退出系统的方式,当用户退出系统时有退出时的提示语句,而当用户输入错误时有错误提示语句,整个设计就是按照这样一种设计思路及目标进行设计的。2.2 基本原理在计算机系统中,信息均按字节或字组成,故一般采用分组码。对信源输出的序列,若按每组长k位进行分组,则在二进制情况下共有2k个不同的组合,若按某一种规则,将每一组k位增加r位校验位(r=n-k,n是含有码元的个数)。使之成为具有一定纠错或检错能力的码字,则在2k个码字集合构成分组码。分组码的规律性是局限在一个码组之内的,如果这种规律性是以一线性方程组来表示的,则这种分组码就叫做线性分组码。分组码一般可用符号(n,k)表示,其中k是每组的信息元数目,n是码组的总位数,又称为码组的长度(码长)。r=n-k 为码组的监督元数目。长为n的所以二进制组(或称n重)共有2n个,但长为k的信息组只有2k个,因此分组码实际上就是以一定的规则从2n个n重中挑选出2k个n重,使2k个信息组与2k个n重之间建立一一对应关系,这2k个n重组成了一个(n,k)分组码。通常称这2k个n重为许用码组,简称码组,码矢或码字,而其余的2n-2k个n重为禁用码组。在(n,k)线性分组码中,常用编码效率R衡量码的有效性,它定义为信息位在码字中所占的比重:R=k/n
R越大,表明码的冗余度越小。两个码组对应位上数字不同的个数称为码组的距离,简称码距,也叫汗明距离。对于(n,k)线性码来说,2k个码字中所有可能码字对之间的汗明距离中最小的距离称为该码的最小汉明距离,用dmin表示,这是衡量这种编码检错和纠错能力的重要参数。(7,3)线性分组码的编码,实际上是从已知的3信息元中求出满足要求的4个校验元。然后将校验元附在信息元后构成一个码字。(7,3)码的每个码字有4个监督元,要从7个信息元中求出4个监督元必须有4个独立的线性方程(也叫做生成方程组)。这样可以得到生成矩阵G,反之由生成矩阵G也可以得到生成方程组。因为题目中只给出了Q矩阵,所以要转换为标准形式的G矩阵。G的标准矩阵是前四列为一个k*k的单位矩阵(k为信息元个数)。在本设计中信息元个数k=3,所以是一个3*3的单位矩阵,而G矩阵的后四列为Q矩阵,即G=?I3,Q? 。G的标准形式为 :?1001?Q=?0111? ????1100??再由信息元乘以G便可以得到编得的码字A。而要检验接收码组是否正确,就需用生成矩阵G得到监督矩阵H。H是由P矩阵(Q矩阵的转置QT)和r*r(r为监督元个数)的单位矩阵组成,本设计中是一个4*4的单位矩阵,即H=[P I4]。用接收到的码组B乘以H矩阵的转置,得到校正子S(S应该是一个1*4的矩阵)。算得S后,判断 S是否为零。为零则正确,即是B=A。否则有错,需要纠错。由s可以得到错误图样E,它们之间是一一对应的。由接收码组B模尔加错误图样E就可以得到编码所得的正确码组A。这就是一个完整的编码与译码的过程。2.3 编译码过程从题目要求中可以得知,给出Q矩阵是:?1001?Q=?0111?
????1100??所以第一步要把 Q矩阵转化为标准的G矩阵形式,由G=[I3
Q]可以知道,前三列是一个3*3的单位矩阵,后四列是给出的Q矩阵,因此得出G矩阵为 :?1001001??
G=?0100111?????0011100?因为P = QT,所以可以得知矩阵P为:?1110?? P = QT=?0111????1101??由前面的理论可以知道,监督矩阵H矩阵是由P矩阵与一个r*r的单位矩阵构成,即有H=[P I4]。所以可以得到监督矩阵H为:?10100?? H=??0100010???1100001??因为所求校正子是 S=B?H,所以我们还必须知道H矩阵的转置,即H为: TT?????1100?T??H=?1000??0100???????到此,在本设计中要用到的各种矩阵就都已求出,下面进行编码与译码。2.3.1 编码过程编码过程是一个信息元与生成矩阵相乘的过程,在(7,3)码中,信息元共有3个,即{a6 a5 a4 }。由23=8可以知道,共可以编得8个码字。根据标准的G矩阵,首先可以得到它的生成方程:?a6?a6?a5?a5??a4?a4
(2-1) ??a2?a6?a5?a4??a1?a6?a5?a0?a5?a4?根据生成方程,只要知道3位信息元,就可以得到一个完整的码字。?1101?? 首先要知道8个信息元:{a6 a5 a4} = ? ?010110???011111??这样就可以用:A = {a6 a5 a4 } * G算得8(23)个A。包含各类专业文献、专业论文、高等教育、中学教育、行业资料、幼儿教育、小学教育、52线性分组码等内容。 
您可在本站搜索以下内容:
 当分组码的信息码元与监督码 元之间的关系为线性关系时,这种分组码就称为线性分组码。 对于长度为 n 的二进制线性分组码,它有 选择 M= 种可能的码组 ,从种...  线性分组码一、原理:监督矩阵: 线性分组码 ? n, k ? 中许用码组为 2 个。定义线性分组码的加法为模二加法,乘法为二 k 进制乘法。即 1 ? 1 ? 0 、1...  前 言 设计数字通信系统时,应首先合理选择信道编译码码组种类,这样才可以在信号的传输, 以及接收环节达到较好的效果,线性分组码具有编译码简单,封闭性好等特点,...   线性分组码_工学_高等教育_教育专区。摘要此课程设计是(7,3)线性分组码编译码的 C 语言仿真与实现。它可以对输入的三位信息 码进行线性分组编码,对接受的七位...  线性分组码 本质就是把一个长度为 k 的信息码通过增加 n-k 个监督码元,让 其变成一个长度为 n 的码字,这个问题其实就变成了如何在 2n 个可 选码字中,选择...  (3) 由于校验矩阵 H 的任意两列线性无关, 3 列则线性相关, 所以最小汉明距离 d=3。 (4) (7, 3)线性码的消息序列 m=000,001,010,011,100,101,110,...  对于长度为 n 的二进制线性分组码,它有种可能的 码组,从种码组中,可以选择 M=个码组(k&n)组成一种码。这样,一个 k 比特信息的线 性分组码可以映射到一...  分组是指编、译码过程是按分组进行的,而线性是指分组 码中的监督码元按线性方程生成的。 【注】 线性分组码的编码问题,就是要建立一组线性方程组,已知 k 个系...  实 验 报 告 书 课程名称: 实验名称: 信息论与编码实验 线性分组码的编译码 1 一、 实验内容借助 C++编程来实现对线性分组码的编码及其译码算法的实现 二、...
别人正在看什么?

我要回帖

更多关于 信道编码 ppt 的文章

 

随机推荐