硅片刻蚀上有水或者向硅片刻蚀上刻蚀的槽内注上水之后(槽内有水但是硅片刻蚀表面无水)还能进行键合么?谢谢

来源:内容综合自小葱看电子莋者 国君电子王聪/张天闻,谢谢

晶圆制造是半导体生产的必要环节,它是指利用二氧化硅作为原材料制作单晶硅硅片刻蚀的过程

(篇幅所限,内容有删减详见原文报告)

刻蚀是晶圆制造的关键步骤,刻蚀技术高低直接决定了芯片制程的大小并且在成本上仅次于光刻,近年来随着3D NAND技术的不断兴起刻蚀的重要性也愈发上升。

(篇幅所限内容有删减,详见原文报告)

立足刻蚀重要参数干法刻蚀大势所趋

刻蚀是用化学或物理方法对衬底表面或表面覆盖薄膜进行选择性腐蚀或剥离的过程,进而形成光刻定义的电路图形刻蚀的基本目标昰在涂胶的硅片刻蚀上正确的复制掩模图形。有图形的光刻胶层在刻蚀中不受到腐蚀源显著的侵蚀这层掩蔽膜用来在刻蚀中保护硅片刻蝕上的特殊区域而选择性地刻蚀掉未被光刻胶保护的区域。在通常的CMOS工艺流程中刻蚀都是在光刻工艺之后进行的。从这一点来看刻蚀鈳以看成在硅片刻蚀上复制所想要图形的最后主要图形转移工艺步骤。

刻蚀分为两种:干法刻蚀湿法刻蚀在湿法刻蚀中,液体化学试劑以化学方式(如酸、碱和溶剂等)去除硅片刻蚀表面的材料干法刻蚀是把硅片刻蚀表面暴露于气态中产生的等离子体,等离子体通过咣刻胶中开出的窗口与硅片刻蚀发生物理或化学反应(或这两种反应),从而去掉暴露的表面材料湿法刻蚀由于需要大量对人体和环境有害的腐蚀性化学试剂,目前在大规模集成电路制造中正被干法刻蚀所替代。目前市场主流的刻蚀技术是干法刻蚀占比高达90%。

刻蚀主要通过几个参数来表征其中刻蚀速率是指在刻蚀过程中去除硅表面材料的速度,另一个刻蚀速率相关的概念是选择比用来表示同一刻蚀条件下一种材料与另一种材料相比刻蚀速率快多少,高选择比意味着只刻蚀去掉想去除的那一层刻蚀剖面指的是刻蚀图形的侧壁形狀,分为两种:各向同性刻蚀剖面以及各向异性刻蚀剖面各向异性的刻蚀剖面容易形成钻蚀,从而形成刻蚀偏差刻蚀均匀性是用来衡量刻蚀工艺均匀性的指标,非均匀性刻蚀会产生额外的过刻蚀保持硅片刻蚀的均匀性是保证制造性能一致的关键。而刻蚀残留物是指在刻蚀后留在硅片刻蚀表面不想要的材料可以在去除光刻胶过程中用湿法刻蚀去掉。

湿法刻蚀本质上是各向同性的因而湿法刻蚀不能用於亚微米器件中的选择性图形刻蚀。一些干法等离子体系统也能进行各向同性刻蚀对于亚微米尺寸的图形来说,希望刻蚀剖面是各向异性的即刻蚀只在垂直于硅片刻蚀表面的方向进行,只有很少的横向刻蚀这种垂直的侧壁使得在芯片上可以制作高密度的刻蚀图形。先進集成电路应用上通常需要88到89度的侧壁各向异性刻蚀大部分是通过干法等离子体刻蚀来实现的。

干法刻蚀系统中刻蚀作用是通过化学莋用或物理作用,或者是化学和物理的共同作用来实现的这些不同的刻蚀方法拥有不同的优劣势。其中物理和化学混合作用机理刻蚀能獲得好的线宽控制并有不错的选择比因此被大多数干法刻蚀工艺采用。

干法刻蚀三足鼎立硅刻蚀难度最大

干法刻蚀根据被刻蚀的材料類型来分类,分为三种:金属刻蚀介质刻蚀硅刻蚀

介质刻蚀在绝缘材料中雕刻图案以将半导体器件的导电部分分隔开。 对于先进的設备这些结构可能具有较高的深宽比,并且涉及复杂、敏感的材料 与刻蚀参数目标轻微的偏差(即使在原子尺度)也会对器件的质量產生负面影响。介质刻蚀一般要求刻蚀出比较高深宽比的缺口并且要求下层材料有较高的选择比。

硅刻蚀用于需要去除硅的场合浅沟槽隔离刻蚀(STI)、多晶硅栅极刻蚀(poly gate)是定义半导体器件尺寸的关键工序。由于技术的不断推进在传统硅刻蚀的基础上发展出了深硅刻蚀,它有別于VLSI 中的硅刻蚀因此又称为先进硅刻蚀(ASE) 工艺。它由于采用了感应耦合等离子体(ICP),所以与传统的反应离子刻蚀(RIE)、电子回旋共振(ECR)等刻蚀技术相仳具有更大的各向异性刻蚀速率比和更高的刻蚀速率,且系统结构简单与此同时,在VLSI工艺技术进入深亚微米阶段之后由于器件尺寸嘚不断缩小,产生了一系列严重影响器件性能的小尺寸效应为了克服这些小尺寸效应,有效的器件隔离结构至关重要基于LOCOS的隔离技术巳经不适应深亚微米工艺的要求,STI技术由于所具有的几乎为零的场侵蚀更好的平坦性和抗锁定性能以及低的结电容,已成为深亚微米工藝的主流隔离技术STI良好的隔离性是以集成一系列复杂的单步工艺来获得的,工艺上的难点主要包括沟槽的刻蚀、介质的填充以及CMP的平坦囮

金属蚀刻工艺在连接形成集成电路(IC)的各个部件(例如,形成电线和电连接)中起关键作用 

(篇幅所限,内容有删减详见原文報告)

硅刻蚀作为晶体管层刻蚀方法,要求最高难度最大。硅刻蚀是对底层晶体管的刻蚀其对刻蚀形貌精度要求较高。因此其通常需偠具备高选择比、高各向异性、高控制精度如选择比方面,对于介质刻蚀选择比一般为20:1到50:1左右;对于金属刻蚀,选择比则更低;洏对于硅刻蚀由于多晶硅栅、浅槽隔离等尺寸极小,故精度要求极高选择比要达到150:1左右。同时小尺寸带来深宽比增大硅刻蚀在14nm以丅的深宽比会达到约30:1及以上,刻蚀难度加大就制程工艺方面,对于介质刻蚀和金属刻蚀28nm的刻蚀精度就可以处理14nm的集成电路,而硅刻蚀茬14nm的集成电路制程下必须要求14nm的刻蚀精度

干法刻蚀技术不断演进, ALE成未来之星

具体到干法刻蚀,运用等离子体的刻蚀是目前主流的刻蚀手段按照等离子体产生的方式可以分为ICPCCPTCP等。

(篇幅所限内容有删减,详见原文报告)

电容耦合(CCP)等离子体是通过匹配器和隔直电嫆把射频电压加到两块平行平板电机上进行放电而生成的两个电极和等离子体构成一个等效电容器。最早用于半导体刻蚀工艺的等离子體就是这种电容耦合射频放电等离子体不过在早期的等离子体刻蚀工艺中,都是采用单一射频功率原来驱动并维持放电这种单一CCP放电嘚缺点之一是不能对等离子体密度和轰击到晶片上的粒子能量进行独立控制,为了解决这个矛盾近几年人们提出了双频(或多频)电源驅动CCP放电,其a中一个是高频电源一个是低频电源。原则上讲采用这种双频驱动放电技术可以实现等离子体参数和离子能量分布的独立控制。前提是高频电源的频率足够高否则当两个电源频率比较接近时,将会存在很强的非线性相互作用这将影响等离子体密度的均匀性。这是双频放电等离子体刻蚀工艺中一个急需解决的问题

电感耦合等离子体可以通过电流线圈缠绕充满气体的石英玻璃管进行放点来產生。有两种不同方式的电感耦合放电:一种是把射频线圈缠绕在柱状放电室的侧面而另一种是把线圈放置在放电室顶部。在20世纪90年代人们发现这种电感耦合放电可以在很低的工作气压下进行,而且可以产生高密度的等离子体特别是不需要引入外磁场来增强这种放电。正是由于这些优点近年来这种等离子体已经广泛的应用于硅半导体的刻蚀工艺中。电感耦合等离子体具有反常趋肤效应、无碰撞加热、负功率吸收、高次谐波电流等特点

运用等离子体的干法刻蚀刻蚀按照腐蚀原理可以分为等离子体刻蚀(主要是化学反应过程),反应離子刻蚀(物理化学反映过程)(RIE)离子束铣(Ion Milling),聚焦离子束刻蚀等(FIB)其中反应离子刻蚀(RIE)是目前业界重点发展的方向,广泛應用在国外龙头如拉姆研究东京电子,国内中微半导体和北方华创也采用了反应离子刻蚀反应离子刻蚀(RIE)是利用高频电场下气体辉咣放电产生的离子轰击的物理效应和活性粒子的化学效应相结合来实现加工目的的一种技术。一般来说具有较高的刻蚀速率良好的方向性和选择性,能刻蚀精细结构的图形

高刻蚀速度和高均匀性一直是微细加工技术发展的主要内容,随着基片尺寸不断增大器件结构尺団不断缩小,这些要求就更为突出新的等离子体产生方式是近年来干法刻蚀技术发展的重点。电子回旋共振(ECR)技术已得到普遍应用咜是1984年前后日本日立公司最早研究的,在较长时间里得不到重视只在日本发展。直到八十年代末美英法德的少数公司才开始开发,近姩来发展很快曾有人认为ECR技术是今后的发展主流,但没过多久美国Lam公司与IGM公司合作发展了线圈耦合等离子体(TCP)技术,最近又出现螺線式、螺线共振式、空心阳极式和共振感应式等新型等离子体结构这些新开拓的技术共同特点是能够产生高密度等离子体,以提高刻蚀速率和刻蚀均匀性就目前来看,我国两大刻蚀设备供应商都相继推出了自己的ICP刻蚀设备并且在不断发展更加先进的等离子体产生技术。中微半导体今年推出的Primo nanova和北方华创硅刻蚀设备NMC612D都采用了ICP技术

摩尔定律的继续发展已经不仅仅指简单的微缩,无论是从2D向3D转变或是其他方式产业界始终都有方法让芯片的密度和性能继续提高,而能耗和成本持续降低反应离子刻蚀虽然快速可靠,但是随着技术的不断演進仍然存在三个挑战首先,随着结构尺寸的不断缩小人们需要尽可能降低由各种原因导致的工艺误差。其次刻蚀工艺需要在去除目標材料的同时,完好保留下一层材料第三,当达到期望的深度之后等离子体中的高能离子可能会导致硅片刻蚀表面粗糙或底层损伤,這是需要激励避免的问题

(篇幅所限,内容有删减详见原文报告)

原子层刻蚀(ALE)是一种能够精密控制被去除的材料量的先进技术。

(篇幅所限内容有删减,详见原文报告)

ALE分为两大类等离子体ALE高温ALE,也有试图结合两种技术的高温等离子体ALE技术这两类ALE技术分别適用于不同类型的刻蚀,尽管在某些相同过程中它们是一起使用的等离子体ALE使用高能离子或中性物质从表面上剔除物质的方法来进行刻蝕;而高温ALE应用于特定的高温气相反应。长时间以来等离子体ALE一直在生产中应用。通常是等离子体ALE进行各向异性或定向蚀刻相反的,高温ALE执行各向同性或单向蚀刻混合技术仍然在研发当中,等离子体ALE已经应用于生产中而高温ALE仍处于早期阶段,必须在更广泛的材料上進行实验目前刻蚀设备国际龙头拉姆研究和东京电子相继推出了基于ALE技术的刻蚀设备,而国内企业北方华创也于近日公开了名为《原子層刻蚀装置及采用其的原子层刻蚀方法》发明专利文献可见相关研究已经展开,产品发布指日可待

受益建厂潮,多重因素共同推动市場需求

设备投资占比巨大刻蚀设备是重要一环

随着半导体制程迈入10nm以内,建设晶圆生产线的成本迅速提升一条90nm制程的晶圆生产线的成夲是20亿美元,20nm的成本是67亿美元而未来5nm生产线的成本将高达160亿美元在这快速增加的成本背后,半导体设备是产线投资的主要投入项半导體设备不仅种类繁多,而且为了在小制程下实现高精度操作对设备具有极高的技术要求。技术的复杂性导致半导体设备具有极高的技术壁垒价格昂贵,在90nm产线中设备支出占到70%在20nm制程中占到85%,从14亿美元提高到了57亿美元

晶圆制造设备占设备投资比例的80%,是占比最大的一類半导体设备

(篇幅所限,内容有删减详见原文报告)

晶圆制造设备巨大的价值又以光刻机刻蚀机以及薄膜沉积设备为主,这三种設备合计能占到设备投资额的50%-70%左右

(篇幅所限,内容有删减详见原文报告)

受益建厂潮,国内刻蚀设备需求大国产化率有待提

从过往产业转移过程来看,半导体全球级霸主的产生往往伴随着新应用新市场的快速崛起和国家财政的大力支持目前我国半导体产业正处于噺一代智能手机、物联网、人工智能、5G等行业崛起的过程中,应用市场需求庞大;同时政府以多项文件、专项计划大力支持又通过大基金进行资本投入,使得我国兼具着产业转移的两大历史条件有望成为第三次产业转移的最大受益者。

伴随着第三次产业转移近年来,夶陆半导体产业迎来大规模建厂潮而伴随着国内产业投资量的迅猛增长,相关厂商的设备需求也大大增加

(篇幅所限,内容有删减詳见原文报告)

接下来对于国内刻蚀机市场规模进行一个测算,首先统计正在兴建的产线情况除了已经量产的9条12英寸产线外,从2014下半年臸2017上半年中国大陆正在兴建或宣布计划兴建的12英寸晶圆生产线共有23条(包括扩产升级的产线),大大超越了已有数量这在史上也是绝无仅囿的集建设时期。

受益建厂潮国内产线建设拉动20亿美元刻蚀设备需求。分别用两种方法估计刻蚀设备的市场规模:

(1)根据之前的估计对于不同制程,设备投资占产线投资比例不同:70%—90/65nm、75%—45nm、80%—32nm、85%—20nm并且假设刻蚀设备占设备投资的15%,那么根据之前的数据可以得到国内茬建产线所需刻蚀设备的市场规模大约为128.48亿美元

(2)另一种方法是根据产线来估计规模,假设一条典型生产线的产能是3.5万根据目前的市场情况假设一条产线需要介质刻蚀机20台、硅刻蚀机15台、金属刻蚀机10台,硅刻蚀机和介质刻蚀机价格都是400万美元金属刻蚀机一台单价700万媄元,那么一条典型的生产线的刻蚀设备价值是1.175亿美元根据在建产能计算共有93.6亿美元。

对两种方法设置权重对第一种方法设置70%的权重,对第二种设置30%的权重加权平均之后可以得到现有在建计划预计拉动118.16亿美元的市场需求,按照国内现在国产化率11.41%对未来进行测算,假設未来国产化率会随着国内设备企业的不断成长而不断提高平均可以达到17%的水平,那么在建生产工厂预计会提供给国内刻蚀设备厂商20.08亿媄元的设备需求

刻蚀设备国产化率极低,潜在空间巨大

(篇幅所限,内容有删减详见原文报告)

多种因素共同推动,刻蚀设备需求高企

刻蚀设备市场体量巨大、增速可观据 Factor Equilibrium 预测,2016 年全球刻蚀设备市场规模为 78 亿美元年市场销售额年复合增长率为6.8%,销售量年复合增长率为8.5%而Gartner则预计年刻蚀设备全球销售额年复合增长率为6.7%。未来受益于芯片制造工艺制程不断微缩、新结构和新材料的不断涌现刻蚀设备增量可期。

制造工艺日益复杂提升刻蚀难度拉动刻蚀需求

随着制程不断推进和设计结构的革新,芯片制造工艺不断发展一方面刻蚀难喥的加大,推动了刻蚀设备的迭代;另一方面刻蚀步骤增加拉动了刻蚀设备的需求量。

(篇幅所限内容有删减,详见原文报告)

Multiple Patterning技术廣泛应用于10nm制程时代主要可分为Pitch Split技术和SAMP技术。集成电路微缩化不断发展芯片制程不断提升,“光刻—刻蚀”的精密程度决定着集成电蕗的工艺节点随着制程进入10nm级别,单步“光刻—刻蚀”已无法满足需求Multiple Patterning技术可以提高图形密度,目前广泛应用于工业Multiple

Pitch Split技术,增加了刻蚀次数拉动刻蚀设备的需求。

(篇幅所限内容有删减,详见原文报告)

(篇幅所限内容有删减,详见原文报告)

SAMP技术增加了刻蚀佽数和刻蚀难度推动了刻蚀设备的发展。一方面由于SAMP过程涉及多层掩模的刻蚀,因此需要匹配多次刻蚀增加了刻蚀次数。另一方面该技术的主要难度在于:(1)选择比问题:重复次数增加的SAMP技术会需要更多层侧墙和掩模,使得刻蚀的工艺越加复杂对于不同层如形荿预图形的物质、间隔物、下层材料等,刻蚀选择比也具有更高的要求从而保证图形转移的准确性。(2)侧墙形貌的控制:侧墙形貌是圖形转移的关键掩模刻蚀难度也随侧墙层数的增多而增多。一般采用各向异性刻蚀法获得侧墙后美国曾申请专利采用侧向同性刻蚀法鉯获得更理想的侧墙形貌,同时也对刻蚀工艺提出了更高的要求因此SAMP技术使得刻蚀步骤增多且难度加大,拉动了刻蚀设备的更新换代

(2)基于金属硬掩模的双大马士革工艺

“双大马士革工艺”的用途是制造高密度的金属互连线。基于集成电路制程不断提升金属连线密喥不断增大,由此带来的电阻和寄生电容不可忽视推动集成电路制造从金属铝互连发展为由镶嵌工艺实现的金属铜互连,同时低k值材料玳替了二氧化硅成为金属层间的绝缘介质这就是“双大马士革工艺”。其一般步骤为:先挖出柱状通孔接着在金属层间的绝缘层中挖溝槽,然后在孔和槽中同时填入铜再通过化学机械抛光工艺将铜磨平,形成金属互连线

基于金属硬质掩模一体化刻蚀的双大马士革工藝最为先进,其增大了刻蚀难度拉动了金属刻蚀设备的发展。

(篇幅所限内容有删减,详见原文报告)

浅槽隔离(STI)技术广泛用于有源区域之间的绝缘结构的制作其比LOCOS工艺拥有较佳的隔离特性。STI结构的形成通常是先在半导体基底上沉积一层氮化硅层然后图案化此氮囮硅层形成硬掩膜,再蚀刻基底在相邻的元件之间形成陡峭的沟渠;最后,在沟渠中填入氧化物形成元件隔离结构

STI技术增大了刻蚀的難度,推动了刻蚀设备的发展

(篇幅所限,内容有删减详见原文报告)

(4)高深宽比刻蚀和高选择比刻蚀

随着集成电路制程的缩小,淛造工艺日益复杂高深宽比、高选择比、高均一性刻蚀日益重要。由于制程的缩小在深度不变的情况的宽度愈来愈小,导致了更高的罙宽比高深宽比结构(HAR)的刻蚀工艺极易产生侧向弯曲、顶部CD和底部CD的变化、颈缩、倾斜及图形扭曲等问题。因此其刻蚀过程包含多个刻蚀步骤来满足剖面形貌、CD、刻蚀选择比、均匀度及工艺重复性要求刻蚀难度加大,推动了刻蚀设备的更新换代

随着制造工艺日益复雜,刻蚀对象种类增多高选择比刻蚀日益重要。

(篇幅所限内容有删减,详见原文报告)

新结构推动制造工艺发展打开刻蚀设备市場

电子行业历来有着从下游看上游的逻辑,上下游联动效应显著刻蚀设备下游应用领域主要包括逻辑、存储、MEMS、 功率器件、RFID、CMOS 图像传感器等,其中逻辑和存储应用市场占比最高(约65%)且仍呈现上升趋势制程的不断推进以及设计结构的日益复杂是推动刻蚀设备发展的核心逻辑。DRAM小型化增加多重图案刻蚀重复次数、HARC(High Aspect Ratio Contact)刻蚀需求;3D NAND 堆叠层数不断增多,带动 HARC市场增长;Logic/Foundry小型化同时其结构日益复杂,增加多重图案重複次数和互连过程下游的强劲增长,将催动上游刻蚀设备的需求

为提高存储性能和密度,DRAM制程不断缩小DRAM的基本单元是一个晶体管加┅个电容,并用电容有无电荷来表示数字信息0和1为提升存储速度、降低成本和功耗,DRAM逐渐微型化2014年,20 nm/1x nm制程占据市场份额不到10%2017年,其占比已达到约75%2018年,1y nm制程将开始涌现并成为趋势。DRAM制程不断微缩推动了其结构设计的更新和器件尺寸的减小,增大了制造工艺的难度

DRAM电路图形密度增大,Multiple Patterning重复次数增加增大刻蚀设备需求。

(篇幅所限内容有删减,详见原文报告)

DRAM储存电容的深宽比随制程微缩几乎呈指数增加拉动了HAR结构的刻蚀需求。

(篇幅所限内容有删减,详见原文报告)

3D NAND市场占比逐渐增大层数逐渐增多。2D NAND是按照传统二维平媔模式对闪存颗粒进行排列随着NAND存储器在物联网和行动装置中的普及,轻薄、低功耗的需求日益提高另外随着晶圆物理极限的不断逼菦,固态硬盘上单体的存储单元内部能够装载的闪存颗粒接近极限2D NAND已难以满足要求,3D NAND技术应运而生该技术是在二维平面基础上,在垂矗方向也进行闪存颗粒排列从而对原本的平面堆叠方式进行了创新。

(篇幅所限内容有删减,详见原文报告)

沉积和刻蚀工艺定义3D NAND拉动HAR结构刻蚀,增加刻蚀步骤为刻蚀设备需求提供强大支撑。

(篇幅所限内容有删减,详见原文报告)

逻辑电路制程不断微缩晶体管层和互连层双轮驱动刻蚀设备增长。2014年大于20nm支撑占据市场;随后14/16nm技术出现;到2016年,制程步入10nm级别;2017年7nm出现,未来即将进入5/3nm制程对於底部晶体管制造,平面结构制程工艺逐渐达到极限难以有所突破,3D结构FinFET逐渐取代平面结构成为主流增加刻蚀步骤和难度;对于上部互连层制造,随着电路密度加大互连结构日益复杂,增加了刻蚀步骤;同时复杂的互连层带来的损耗逐渐增多互连材料和设计趋于变革,为刻蚀设备带来新机会

对于晶体管层,新结构FinFET成为主流MOSFET是目前半导体产业最常使用的一种场效电晶体(FET),在2D构造MOSFET中“闸极长喥”大约10nm,是左右构造中最细小也最难制作的因此我们常常以闸极长度来代表半导体制程的进步程度,这就是所谓的“制程线宽”但昰对于MOSFET而言,当闸极长度缩小到20nm以下时会产生“短沟道效应”,源极和汲极的距离过近闸极下方的氧化层愈来愈薄,电子可能发生“漏电”现象同时原本电子是否能从源极流到汲极是由闸极电压来控制的,当闸极长度越小时闸极对通道的影响力越小。平面结构很难洅通过缩小制程来实现性能提升由此,3D的FinFET技术诞生FinFET,称为鳍式场效应晶体管在该结构中,闸极设计成类似鱼鳍的叉状3D结构其相对於平面结构具有众多优势:(1)更好的沟道控制能力;(2)更低的漏电流;(3)更低的阈值电压;(4)大幅缩减闸长。自2013年FinFET技术开始成為市场主流。

FinFET结构增大刻蚀难度和刻蚀步骤对刻蚀设备提出了更高的要求。

(篇幅所限内容有删减,详见原文报告)

对于上部互连层日益复杂的互连层,带来刻蚀设备需求

(篇幅所限,内容有删减详见原文报告)

海外龙头“自研+并购”优势明显,平台型企业全设備布局

泛林:持续研发成就刻蚀龙头积极外延占比不断提升

泛林(Lam Research)是仅次于应用材料、全球排名第二的半导体设备供应商。泛林集团荿立于1980年其主要业务包括薄膜沉积、刻蚀、去胶和清洗以及质量监控系统五大部分,是世界领先的半导体设备提供商是刻蚀机领域的技术先驱和市场领导者。

泛林市场份额增长迅速盈利能力强。

(篇幅所限内容有删减,详见原文报告)

一是Conductors刻蚀主要有Kiyo产品系列。Kiyo產品系列通过原位蚀刻能力、连续等离子和先进的无晶圆自动清洗技术实现了高生产率和多薄膜叠层的低缺陷率为了解决图案化中的技術变化问题,Kiyo系列采用Hydra?技术提供最先进的功能该技术可为NVM,DRAM和逻辑器件中的FEOL / BEOL工艺模块提供良好的晶圆内均匀性此外,Kiyo系统可配置为执荇原子层蚀刻应用包括FinFET栅极,STI等

二是介质刻蚀。主要有Flex产品系列多频率、小体积、受限制的等离子体设计实现了均匀性、可重复性囷可调性, 该系统通过原位多步刻蚀和连续等离子体功能实现了高生产率和低缺陷率应用包括用于DRAM电容单元的高纵横比应用等。

三是金屬刻蚀Versys Metal产品系列为BEOL金属蚀刻工艺提供了一个灵活的平台。 对称腔室设计和独立调谐功能为金属硬掩模应用提供均匀性控制该产品专有嘚腔室清洁技术确保BEOL加工的高可用性,高产量和出色的工艺重复性

四是TSV刻蚀。主要为Syndion产品系列该系列为TSV和CIS蚀刻应用提供低风险、灵活嘚解决方案。Syndion产品由于高蚀刻速率、出色的重复性以及TSV叠层(硅、电介质、导电膜)中的多种材料的原位蚀刻而提供了较低的成本 该系統支持传统的单步刻蚀和快速交替工艺(“RAP”), 高工艺灵活性、卓越的剖面控制和出色的均匀性能够成功实现各种互补金属氧化物半导體和图像传感器应用的TSV

五是MEMS/Deep Si Etch。主要为DSiE 产品系列该系列产品为深硅刻蚀提供了解决方案。广泛应用于MEMS的沟槽、通孔的深硅刻蚀有源器件的硅槽刻蚀以及晶圆级封装的TSV刻蚀。

公司在等离子体刻蚀领域市场份额第一

(篇幅所限,内容有删减详见原文报告)

泛林重视研发技术领先,在多数细分领域均为龙头地位推动行业发展。如在刻蚀领域泛林介质刻蚀位列全球第二,导体刻蚀则排名第一;在沉积领域其钨沉积和铜沉积均为全球第一,氧化物沉积则排名第二;在清洗领域第三在更细分的斜角清洗领域则位列全球第一。公司的领导仂主要体现在四个方面一是垂直缩放(Vertical Scaling),包括高纵横比刻蚀技术、高生产率平台、压力控制、选择性刻蚀等;二是多重图案化(Multiple Patterning)包括垫片沉积、EUV吸收层、选择性沉积、先进制程控制等;三是原子量级加工(Atomic-Scale Processing),包括3D NAND ALD钨字线、晶体管间隔物沉积、自动校准ALE等;四是新材料(New Material)公司对刻蚀、沉积、清洗等设备进行持续而大量的研发投资,研发支出稳定增长2017年达到10.3亿美元,占营收比重始终保持12%以上

公司积极外延并购,打造平台型企业

(篇幅所限,内容有删减详见原文报告)

平台型企业多元化业务,技术互补产品协同促进解决方案升级,抢占市场份额

(篇幅所限,内容有删减详见原文报告)

应用材料:刻蚀业务快速增长,多样化并购开疆扩土

AMAT(应用材料)公司是全球最大的半导体设备供应商其规模最大,设备种类最多是平台型公司的最典型代表。公司创建于1967年业务主要由半导体产品倳业部、全球服务产品事业部、显示与临近市场事业部和集团及其他产品事业部组成。2017年半导体产品事业部占公司总营收的65%,是公司最主要的收入来源公司半导体设备产品种类繁多,覆盖原子层沉积、化学气相沉积、物理气相沉积、电气化学沉积、外延工艺、刻蚀、离孓注入、测量与检测、快速热处理等几乎包揽光刻机以外的半导体制造各环节所需设备,是三星电子、台积电、美光、英特尔等巨头的設备供应商公司已连续15年位列半导体设备领域第一名,是全球半导体设备供应商龙头行业地位稳固。

公司刻蚀设备产品丰富增长迅速。

(篇幅所限内容有删减,详见原文报告)

回顾AMAT的发展历程首先,公司积极进行多样化并购拓展产品线,打造平台型企业公司目前几乎包揽光刻机以外的半导体制造各环节所需设备,是半导体制造设备的综合平台要保证广泛的产品覆盖度,公司不得不面临技术研发投入大、研发周期长、失败风险高、技术更新迭代迅速的问题而多样化的外延并购可以加快公司技术更新速度,适应市场需求并降低内部研发失败的风险。

(篇幅所限内容有删减,详见原文报告)

其次公司顺应产业转移趋势,积极进行全球布局扩大市场。上卋纪70年代美国对日本进行以家电行业为主导的装配产业转移,这是历史上第一次半导体产业转移基于此,1979和1984年AMAT的日本子公司和技术研发中心相继设立。1979至1983年期间公司在日本地区销售额年均复合增长率达93%,1983年日本销售额占公司总销售额比例达到30%上世纪90年代,日本经濟泡沫破裂出现了从日本到韩国和台湾的第二次半导体产业转移,韩国和台湾借此机会确立了在PC和手机端的芯片霸主地位AMAT于1985和1989年分别設立韩国办事处和台湾办事处。此外公司于1984年开始进入中国市场,成为第一个在中国内地设立客服中心的半导体设备商于1991年建立新加披办事处,在欧洲的苏格兰、德国也设立了营业部广阔的全球市场使公司营业额不断实现突破。

最后AMAT始终注重内部技术研发。

(篇幅所限内容有删减,详见原文报告)

标的推荐:国内厂商奋起直追推荐关注北方华创、中微半导体

国内公司服务优势明显,技术紧跟步伐有望实现弯道超车。(1)服务上随着制造工艺要求不断提高,刻蚀设备日益复杂设备相关服务日益重要。国内公司相比于国外公司具有地理优势,能够为国内客户提供更加高效、快速响应的售前、售中及售后服务国内公司有望以服务为优势,逐渐抢占市场份额加速进口替代。(2)技术上国内公司奋力赶超,在先进制造工艺上已与国外公司站在同样起跑线上中微半导体在介质刻蚀领域一骑絕尘,7nm制程已实现量产并成功进入台积电产线;5nm制程正在研发,有望在该领域弯道超车;电容型介质刻蚀设备已进入全球前三同时其巳切入TSV硅通孔刻蚀设备和金属硬掩膜刻蚀设备。其8英寸和12英寸设备国内市占率超过50%北方华创重点布局金属刻蚀和硅刻蚀领域。其中硅刻蝕机已突破14nm技术;金属硬掩膜刻蚀机攻破28-14nm制程同时其介质刻蚀中侧墙刻蚀已成为公司刻蚀设备强项。(3)发展策略上公司均向平台型企业迈进,通过合理并购开拓业务升级解决方案,抢占市场份额

北方华创(002371.SZ):深耕硅刻蚀,切入金属掩模刻蚀

半导体装备是公司最主要业务2015年10月,七星电子(002371)和北方微电子战略重组2017年1月公司更名为“北方华创”,成为中国唯一集成电路前道工艺装备上市公司偅组完成后,公司拥有半导体装备、真空装备、新能源锂电设备及精密元器件四个事业群是国内集成电路高端工艺装备的龙头。其中半導体装备为公司最主要业务设备种类齐全,包括7大系列产品覆盖8大应用领域,囊括晶圆制造过程中的薄膜生长和刻蚀环节以及辅助设備包括七星电子的清洗机与氧化炉、北方微电子的刻蚀、物理气相沉积和化学气相沉积三大类设备,以及单片退火设备和退火炉北方華创产品目前已实现LED、MEMS、光伏、先进封装等领域核心装备的国产化替代,累计超过3100台/套

公司产品市场地位领先,下游应用领域广泛客戶质量高。公司产品广泛应用于LED、MEMS、光伏、先进封装等领域在过去的5年中,LED、光伏、先进封装等领域公司设备市占率稳居第一公司的14nm淛程等离子刻蚀机、ALD等设备已成功进入集成电路主流代工厂;应用于55nm的硅刻蚀机被国内领军集成电路芯片制造企业指定为Baseline机台,28nmPVD和8英寸高密度等离子硅刻蚀机已进入中芯国际生产线;公司的深硅刻蚀设备成功挺进东南亚市场

公司在刻蚀设备领域主攻硅刻蚀和金属刻蚀,其Φ硅刻蚀机已突破14nm技术2005年8月28日,北方微电子公司自主研发的8英寸高密度等离子体硅刻蚀机正式交付中芯国际(天津)集成电路制造有限公司开始在生产线上进行安装和调试,这是中国第一台自主研发干法刻蚀机在中芯国际上线是中国在半导体设备研发领域的一个新的裏程碑。目前公司等离子刻蚀设备产品线丰富,在集成电路、半导体照明、微机电系统、先进封装、功率半导体等领域可提供高端装备忣工艺解决方案形成了对硅、介质、化合物半导体、金属等多种材料的刻蚀能力。2016年公司在LED刻蚀设备市场占有率达到83%。公司先进的硅刻蚀机采用STI技术,已突破14nm技术公司有望率先突破14nm刻蚀领域。

NMC612D刻蚀机是北方华创自主研发的国内首台12英寸14纳米FinFET等离子硅刻蚀机已正式進入上海集成电路研发中心。自半导体制程进入14nm采用FinFET 3D结构工艺已成为主流技术。14纳米FinFET相比于传统的平面型晶体管引入了截然不同的工藝流程,这对刻蚀设备提出更高的挑战NMC612D硅刻蚀机满足了14nm FinFET的各项工艺要求。设备采用了新开发的同步脉冲等离子技术通过对等离子体的實时控制和诊断来实现低损伤和高选择比,采用多区ESC以获得更高的CD均匀性增加高温上电极的设计来降低缺陷,增大Throughput

NMC612D硅刻蚀机多项关键指标达到国际先进水平,满足28/14纳米多种硅刻蚀工艺制程要求同时具备10/7纳米工艺延伸能力。目前该产品正式进入上海集成电路研发中心,与客户共同开展研发工作

12英寸氮化钛金属硬掩膜刻蚀机攻破28-14nm制程。随着器件尺寸的不断缩小沟槽的深宽比越来越大,对硬掩膜材料提出了更高的要求传统的双大马士革工艺所采用的氮化硅或氧化层掩膜,由于和低k介电层之间的选择比不高已经无法满足在沟槽刻蚀嘚同时保护沟槽之间低k介质的要求。基于TiN金属硬掩膜双大马士革工艺则应运而生NMC612M氮化钛金属硬掩膜刻蚀机则是满足TiN硬掩膜刻蚀要求的新┅代金属刻蚀机。该产品主要有三个亮点:(1)采用脉冲等离子技术和多区ESC技术提供同步脉冲双射频等离子源,有效改善负载效应和等離子体损伤在刻蚀形貌控制、均匀性控、减少刻蚀损伤、刻蚀选择比提高,可满足刻蚀工艺的更高要求(2)设备应用多接口平台技术,满足客户不同的腔室数量要求(3)采取先进的表面处理和陶瓷镀层技术,有利于减少缺陷和颗粒的产生该产品已攻破28-14nm制程。

公司注偅内部研发研发占比远高于国外龙头。

(篇幅所限内容有删减,详见原文报告)

公司秉持平台型企业发展战略合理外延扩张,强化產品性能拓展产品线。公司业务布局广泛目前拥有半导体装备、真空装备、新能源锂电设备及精密元器件四个事业群,其中半导体装備包括7大系列产品囊括晶圆制造过程中的薄膜生长和刻蚀环节以及辅助设备,产品线丰富2017年8月,公司全资子公司“北方华创微电子”擬以在美国设立子公司的方式以1,500万美元收购美国Akrion Systems LLC公司双方于2018年1月完成交割。Akrion专注于半导体硅晶圆清洗设备产品下游应用领域与公司基夲重合,本次收购后公司的清洗机业务部将拥有单片与批式清洗两大产品线产品结构更加完善,公司技术与客户积累也得到强化进一步扩大清洗机市场。

中微半导体(暂未上市):介质刻蚀龙头逐渐打入硅通孔刻蚀

中微半导体公司暂未上市,但作为国内龙头刻蚀公司簡介如下供投资者参考

中微半导体在芯片介质刻蚀设备、硅通孔刻蚀设备、MOCVD设备三大细分领域均成为世界三强。中微半导体(AMEC)成立于2004姩是国内领先的高端芯片设备企业,也是大基金一期首个投资企业公司专注于刻蚀和化学薄膜沉积类型设备的生产,其产品能够最大限度利用拥有的产品资源如主机、软件系统等,大大缩短产品开发周期和减少产品发开成本其介质刻蚀设备、硅通孔刻蚀设备、MOCVD设备廣泛应用于国际市场和国内市场,均位列世界三强VOC设备客户已验证合格并实现重复订货,有限推广到LCD产业硅刻蚀设备公婆28-10nm制程,正在進行客户验证阶段2014年中微公司一家独占全国半导体设备出口额的79%。

公司已成功进入海内外领先客户供应链目前,公司芯片介质刻蚀设備已在台积电7nm、10nm产线工作并与其联合进行5nm认证,同时占据中芯国际50%以上新增采购额硅通孔刻蚀设备在我国TSV/CIS/MEMS刻蚀机市场市占率超过50%,MEMS刻蝕机已进入德国博世和意法半导体MOCVD设备方面,公司的第二代Primo A7 MOCVD设备在国内市场已全面取代德国Aixtron和美国Veeco获得80%市场份额。公司设备已经进入叻全球大半排名前列的晶圆厂

公司深耕介质刻蚀设备,7nm制程已实现量产5nm制程正在研发;电容型介质刻蚀设备已进入全球前三。截至目湔公司在介质刻蚀设备已经实现三代产品迭代分别是Primo D-RIE、Primo AD-RIE、Primo SSC AD-RIE,以及一款与除胶机集成的Primo iDEA设备公司介质刻蚀设备已进入16-7nm制程量产,在10nm和7nm的研发线核准数道BARK刻蚀应用并成为标配设备,已经开始5nm器件的刻蚀开发目前介质刻蚀设备共进入25条芯片生产线,生产4300多万片晶圆其中茬台积电拥有232个反应台,累计生产晶圆超过2400万片包括台积电7nm、10nm量产线。此外中微的电容型介质刻蚀设备已进入全球市场前三,仅次于東京电子和泛林

TSV硅通孔刻蚀设备方面,8英寸和12英寸设备国内市占率超过50%公司目前拥有两款TSV硅通孔设备和MEMS刻蚀设备,分别为:Primo TSV200E和Primo TSV300E两款產品广泛应用于8寸和12寸制作工艺,具有以下创新点:(1)配备高效冷却系统的5kW功率射频电感耦合等离子体源功率电源可以提高工艺调整能力。(2)拥有自主知识产权的气体分布系统(3)尺寸可变的气体集聚还可以优化刻蚀均匀性。硅通孔刻蚀设备应用广泛中微在3年内巳运出100个反应台,在MEMS和CIS加工超过340万片晶圆在TSV/MEMS/CIS刻蚀机市场已占有超过50%市场。中微自主设计的MEMS刻蚀机达到国际最先进水平与欧美同类型设備相比具有良率高、输出量大、成本低的优势,已成功进入国际最领先的德国博世和意法半导体中微的TSV硅通孔刻蚀机在8英寸和12英寸设备國内市场占有率超过50%,是业界唯一的双台机无论技术性能、产率和成本均优于美国科林和英国SPTS,市场份额呈现进一步上升趋势

公司技術水平领先,研发实力强劲公司拥有尹志尧等100多位来自美国硅谷、日本、韩国、东南亚及台湾地区的行业专家,曾领导或参与20多个国际先进半导体设备的开发及市场化研发人员占公司员工总数近三分之一。公司30年来一直致力于推动刻蚀技术和设备的发展在32项关键的等離子体刻蚀技术和设备创新突破中,由中微成员或中微首创的有19项占比达到60%。

公司布局MOCVD业务逐渐积累平台型企业优势。除了刻蚀业务公司另一主营业务为MOCVD设备业务。MOCVD设备是指金属有机化合物气相沉积设备,是加工LED芯片的核心设备过去,该领域主要由美国Veeco和德国Aixtron两镓公司把控市场目前公司已经完全打败国外竞争对手。公司第二代Primo A7 MOCVD 设备已在国内全面取代德国Aixtron和美国 Veeco的设备成为2017年三安、华灿、聚灿等主要LED芯片厂的唯一供应商。目前该设备已有100余台进入产线沉积设备与刻蚀设备皆为半导体制造的关键步骤设备,打通两项业务有利于公司提供更加完善的解决方案发挥设备参数设定、功能设计等方面的协同效应,增强产品竞争力拉动业务增长。

:硅的深槽刻蚀技术的制作方法

夲发明属于硅器件和集成电路工艺技术

在超大规模集成电路制造工艺中,硅的深槽隔离技术已成为推动集成电路产业向更高水平发展的┅个必要手段将深槽隔离技术应用于CMOS电路,能有效地克服闩锁效应;应用于双极电路能大大减小寄生电容,提高击穿电压;而在4兆位以上DRAMΦ则需采用深槽技术制作存贮电容。所有这些应用关键在于能否获得侧壁垂直的深槽

目前为获得剖面陡直的深槽一般采用具有各向异性腐蚀特点的反应离子刻蚀(RIE)技术。其工艺过程如下1、在硅片刻蚀上低温淀积一层SiO2或结合器件制作工艺过程需要,淀积SiO2/Si3N4/SiO2复合薄膜顶层SiO2厚喥约1微米,用作刻蚀硅槽的掩膜见图1(a)。

2、光刻之后腐蚀掉SiO2,露出需要刻蚀硅槽区域的窗口见图1(b)。

3、去胶之后用RIE的办法腐蚀Si。选用含氟基的气体腐蚀Si比如SF6,CF4等由于氟基气体本身腐蚀Si是各向同性的,即使在典型的反应离子刻蚀的条件下横向腐蚀也比较严重,往往呮能得到“碗”状剖面的硅槽见图1(c)。

显然这不能满足深槽应用的要求。

在现有的刻蚀硅深槽技术中除上述方法外,还有采用含氯基氣体刻蚀硅的比如用Cl2,虽然氯基气体腐蚀硅槽能够获得较为陡直的侧壁但硅槽底部和侧壁交接处往往形成尖角,这样会造成电场集中影响器件的特性,而且在后续的热氧化过程中尖角附近的氧化层不均匀,这将导致深槽电容应用的失败另外,氯基气体具有很强的腐蚀性会缩短设备有关部件的使用寿命。

本发明的目的是提供一种刻蚀硅深槽的方法它能使硅槽侧壁陡直,槽底为圆弧状

在我们的發明中,采用氟基气体(如SF6)腐蚀硅槽能够获得侧壁陡直而且底部为圆角的硅槽,克服了氯基气体刻蚀形成尖角的弊端得到了剖面形貌较為理想的深槽。另外由于SF6无强腐蚀性,这一点比Cl2更具有优越性有利于设备的维护和保养,具有实用推广性本发明的要点如下1、在前媔提到的硅槽刻蚀工艺中,用作掩蔽的氧化层厚度达1微米这样入射离子会在厚氧化层侧壁散射而失去方向性,造成槽内的横向腐蚀;而且厚氧化层掩膜侧壁的稍微倾斜就会急剧增加入射离子的散射机会,造成严重的横向钻蚀我们提出了用锆(Zr)或氮化锆(ZrN)作掩膜,即利用蒸发戓溅射的方法在硅片刻蚀上生长一层厚度为300A至1000A的锆作为掩膜或利用反应溅射的方法在硅片刻蚀上生长一层厚度为300A至1000A的氮化锆作为掩膜。甴于这种薄膜在上述反应离子刻蚀硅的条件下几乎不被腐蚀因此用作掩膜时它的厚度可以很薄,这样在刻蚀过程中入射离子在掩膜侧壁的散射可以忽略不计,因而避免了由此造成的横向腐蚀

2、为了获得侧壁陡直的硅深槽需采用各向异性刻蚀技术,而通常采用的SF6这种氟基气体刻蚀是各向同性的因此很难获得侧壁垂直硅深槽。我们提出用等离子氧化(或等离子氮化)的办法在硅槽内壁形成刻蚀阻挡层,从洏可以获得各向异性的腐蚀效果具体地说是在通入氟基气体的同时通入以下附加气体氧气(O2)和氩气(Ar),氮气(N2)和氩气(Ar)或者氧气(O2)、氮气(N2)和氩气(Ar)。O2和N2的作用是进行等离子氧化(或等离子氮化)处理这里氧(或氮)的作用是与硅反应形成氧(氮)化硅,在槽内(侧面和底部)形成阻挡刻蚀层保护側壁硅免遭进一步腐蚀,从而控制硅槽侧壁陡直Ar主要起物理轰击作用,具有方向性能除去槽底部的阻挡刻蚀层,使纵向的刻蚀反应能夠继续进行下去

3、采用上述两种措施刻蚀深度为2微米以下硅槽,其侧壁非常陡直为了得到深度更大的侧壁陡直的深槽,可以采用如下所述的刻蚀工艺过程将整个刻蚀硅深槽的过程分成若干次(2-10次)进行每两次刻蚀之间在同一反应室内用等离子氧化(或氮化)处理硅片刻蚀,即茬反应室内通入一定量的氧气、氮气或氧气加氮气施加射频功率形成氧(或氮)等离子体,使槽内壁生成氧化物(或氮化物)以确保硅槽内壁囿足够的阻挡刻蚀层,防止横向腐蚀由于在两次刻蚀之间进行了等离子氧化(或氮化)处理,所以刻蚀时可以只通入氟基气体(如SF6)和Ar也可以茬通入上述气体的同时通入O2,N2或O2加N2

采用本项硅槽刻蚀技术,能够获得侧壁垂直的硅槽与前面提到的现有技术相比,有以下优点1、采用ZrN(戓Zr)作掩膜有效地克服了由厚氧化层侧壁散射造成的横向钻蚀降低了对掩膜光刻腐蚀工艺的苛刻要求。

2、用SF6气体加附加气体腐蚀硅槽可鉯得到各向异性的腐蚀效果,硅槽侧壁垂直

3、采用本发明制作硅深槽,减少了刻蚀气体对设备的腐蚀而且无需采用价格昂贵的专用RIE设備,具有实用推广性

实施例1、在硅片刻蚀上用反应溅射的办法生长一层约500A厚的ZrN薄膜,或用蒸发或溅射的办法生长一层约500A厚的Zr薄膜见图2(a)。

2、光刻之后腐蚀掉未被胶掩蔽的ZrN(或Zr),露出需要刻蚀硅槽的窗口见图2(b)。

3、去胶之后以ZrN(或Zr)作掩膜,利用反应离子刻蚀机刻蚀硅槽(我们使用的RIE设备是瑞典Plasma Therm公司制造的520/520型普通反应离子刻蚀机)刻蚀后的硅槽见图2(c)。

在刻蚀深度为5-6微米的深槽时采用分步刻蚀的办法,每两次刻蝕之间进行等离子氧化处理

刻蚀时间1min等离子氧化处理的条件为O2气流量40cm3/min;

上述过程交替进行10次。

采用上述分步刻蚀所得深槽剖面相当垂直幾乎达到90度,见图3

图1 反应离子刻蚀技术(RIE)刻蚀硅槽示意图1 硅衬底2 氧化硅3 光刻胶图2 本发明刻蚀硅槽示意图1 硅衬底3 光刻胶4 Zr或ZrN图3 本发明刻蚀的硅槽照片

权利要求 1.一种包括淀积掩膜、光刻、刻蚀的硅槽刻蚀方法,其特征在于利用蒸发或溅射的方法在硅片刻蚀表面生长一层300 至1000 的Zr掩膜或鍺利用反应溅射的方法在硅片刻蚀表面生长一层300 至1000 的ZrN掩膜

2.根据权利要求1所述的硅槽刻蚀方法,其特征在于用氟基气体进行刻蚀的同时通入以下附加气体①氧气和氩气,②氮气和氩气或者③氧气、氮气和氩气。

3.根据权利要求1所述的硅槽刻蚀方法其特征在于用氟基气体進行刻蚀分若干次(2至10次)进行,每两次刻蚀之间用等离子氧化(或氮化)处理硅片刻蚀刻蚀时通入的附加气体为①氩气,②氧气和氩气③氮氣和氩气,或者④氧气、氮气和氩气;等离子氧化(或氮化)时通入的气体为①氧气②氮气,或者③氧气和氮气

全文摘要 硅的深槽刻蚀技术昰目前超大规模集成电路制造技术中的一项关键工艺。我们发明了一种新的刻蚀硅深槽的方法采用极薄的Zr或ZrN膜(厚度为300?至1000?)作掩膜,利鼡反应离子刻蚀设备刻蚀硅槽刻蚀气体选用对设备危害程度很小的SF

张利春, 钱钢, 阎桂珍, 王咏梅, 王阳元 申请人:北京大学


我要回帖

更多关于 硅片刻蚀 的文章

 

随机推荐