谁知道基于FPGA的模版函数怎么写信号发生器这个题目的论文应该怎么写?

& 基于FPGA的函数信号发生器设计
基于FPGA的函数信号发生器设计
摘 要:本文主要介绍了电类专业本科生毕业设计的一项成果一基于Actel公司的FusionStartKit开发平台进行设计的一款函数信号发生器。该款函数信号发生器用VC++用户界面设计实现控制和输出波形的显示,
【题 名】基于FPGA的函数信号发生器设计
【作 者】易宏 杜志明 吴国辉 赵汝波 韩明
【机 构】南昌航空大学自动化学院 江西南昌330063
【刊 名】《电气电子教学学报》2009年 第6期 35-37页 共3页
【关键词】函数信号发生器 FPGA USB通信 VC++
【文 摘】本文主要介绍了电类专业本科生毕业设计的一项成果一基于Actel公司的FusionStartKit开发平台进行设计的一款函数信号发生器。该款函数信号发生器用VC++用户界面设计实现控制和输出波形的显示,通过USB通信将数据传送到FPGA进行控制,再由FPGA实现波形数据的储存和高速输出。为实现模拟函数信号的发生,设计了DAC将波形数据转换为模拟信号,设计了信号调理电路实现信号的放大及滤波以得到所需波形信号。
【下载地址】
本文导航:
函数信号发生器,FPGA,USB通信,VC++
上一篇:暂无 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
[精品]基于FPGA的函数信号发生器设计
下载积分:420
内容提示:
文档格式:PDF|
浏览次数:0|
上传日期: 09:54:07|
文档星级:
该用户还上传了这些文档
[精品]基于FPGA的函数信号发生器设计.PDF
官方公共微信扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
基于FPGA的函数信号发生器(硕士论文)
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口基于FPGA函数信号发生器的设计与实现_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
评价文档:
&&¥2.00
喜欢此文档的还喜欢
基于FPGA函数信号发生器的设计与实现
F​P​G​A​函​数​信​号​发​生​器​的​设​计​与​实​现
阅读已结束,如果下载本文需要使用
想免费下载本文?
把文档贴到Blog、BBS或个人站等:
普通尺寸(450*500pix)
较大尺寸(630*500pix)
你可能喜欢 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
基于FPGA的函数信号发生器(硕士论文)
下载积分:900
内容提示:
文档格式:PDF|
浏览次数:0|
上传日期: 11:50:08|
文档星级:
该用户还上传了这些文档
基于FPGA的函数信号发生器(硕士论文).PDF
官方公共微信

我要回帖

更多关于 模版函数怎么写 的文章

 

随机推荐